Intel anunciado este fin de semana, durante el International Reunión sobre dispositivos electrónicos de este año (IEDM 2022) sus próximos avances en transistores. Este anuncio se produjo el día en que el transistor cumple 75 años y está vinculado a la posibilidad de crear procesadores. con 1 billón de transistores bajo el mismo paquete. Como referencia, un Intel Core i9-13900K se escala a 14,200 millones de transistores (frente a 1 000 000 000 000). Referencia en cuanto a GPU, el chip NVIDIA A102 de la RTX-4090 Tiene 76,3 mil millones de transistores.

Investigadores de Intel presentaron sus avances en tecnología de encapsulación 3D con una mejora 10 veces en densidad; nuevos materiales para Escalado de transistores 2D más allá del diseño RibbonFET, que incluye un material ultrafino de solo 3 átomos de espesor; nuevas posibilidades en eficiencia de energía y memoria para una computación más eficiente; y progreso para computación cuántica.

Intel presenta nuevas tecnologías para fabricar chips con 1 billón de transistores

En breve, para lograr esta densidad de transistores, la empresa utilizó nuevos materiales con solo 3 átomos de espesor. Tenemos un nuevo diseño para transistores apilados GAA en vertical y memoria que se puede apilar verticalmente sobre transistores. Sin olvidar ciertos transistores que retener información incluso en caso de un corte de energía.

“75 años después de la invención del transistor, la innovación impulsada por la Ley de Moore continúa satisfaciendo la demanda mundial de computación que crece exponencialmente. cumplir con esta demanda insaciable y mantener viva la Ley de Moore en los años venideros”, dijo Gary Patton, vicepresidente y gerente general de investigación de componentes y habilitación de diseño de Intel.

Toda la tecnología necesaria para llegar a mil millones de transistores

GPU de puente Intel Rialto

Intel está buscando materiales “2D” ultradelgados para poder colocar más transistores en un solo chip:

  • Intel demostró una estructura de nanoplacas apiladas con una cuadrícula a su alrededor utilizando un canal 2D con un material de 3 átomos de espesor. Esto mientras se logra una conmutación casi ideal de transistores en una estructura de doble puerta a temperatura ambiente con baja corriente de fuga. Estos son dos avances esenciales necesarios para apilar transistores GAA y superar los límites fundamentales del silicio.
  • Los investigadores también revelaron el primer análisis integral de topologías de contactos eléctricos con materiales 2D que podrían allanar el camino para canales de transistores escalables y de alto rendimiento.

Nuevas posibilidades de eficiencia de energía y memoria para una computación más eficiente:

  • Para usar el área del chip de manera más eficiente, Intel está redefiniendo la escala mediante el desarrollo de una memoria que se puede colocar verticalmente en los transistores. Por primera vez en la industria, Intel está introduciendo condensadores ferroeléctricos apilados que igualan el rendimiento de los condensadores de trinchera ferroeléctricos convencionales y se pueden utilizar para construir FeRAM en un chip lógico.
  • Un modelo de nivel de dispositivo pionero en la industria captura fases mixtas y defectos para mejorar los dispositivos ferroeléctricos de hafnio. Esto representa un importante avance para el desarrollo de nuevas memorias y transistores ferroeléctricos.
  • Intel está construyendo un camino viable hacia las obleas de GaN sobre silicio de 300 milímetros. Los avances de Intel en esta área demuestran una ganancia de 20 veces en el estándar de la industria GaN y establece un récord de la industria para la entrega de energía de alto rendimiento.
  • Intel está logrando avances en tecnologías de eficiencia energética. precisamente con transistores que no olvidamos, retener datos incluso cuando la energía está apagada. Los investigadores de Intel ya han rompieron dos de las tres barreras que impiden que la tecnología sea plenamente viable y operativa a temperatura ambiente.